satotsuのいろいろつくってみた日記

いろいろつくってみたあれこれの記録。

HC-SR04で距離をはかってみる

買ったはいいものの、また積んでいた超音波センサ。動かしてみた こちらを参考に。 deviceplus.jp ソースはこんな感じ。 そのうち温度補正いれるかもなのと、キー入力で動作、停止をいれた。 #define echoPin 2 // Echo Pin #define trigPin 3 // Trigger Pi…

Arty Z7-20 の立ち上げめも(Boot Imageの作り方)

■FSBL(First Stage Bootloader)プロジェクトの作成 Vivadoで作成したSDKを起動 File->New->Application ProjectProject nameに適当な名前「xxx_FSBL」を入れてNext Zynq FSBLを選択してFinish ■デバッグオプションの設定(オプション:起動確認用UART出力)…

Arty Z7-20 の立ち上げめも(IPの作り方)

■IP(Vivado)プロジェクトの作成 Vivadoを起動(IPを使用するprj) Tools -> Create and Package IPCreate a new AXI4 peripheral Nameに好きなIP名を入力する Edit IP -> Finish ■HDLの編集 (IP名)_S00_AXI.v を開いて編集する(2箇所) // Users to add …

arduinoで相補PWMをだしてみる(再び)

タイマについて聞かれて、前のソースみたらちょっと使いづらかったので修正。 わかりやすく、スイッチング周波数とDuty入れるようにした。 ISR (TIMER1_OVF_vect)は空っぽでも、ないと変になるので注意。 #include <avr/io.h> #include <avr/interrupt.h> void PWM_init(uint16_t fs, fl</avr/interrupt.h></avr/io.h>…

タミヤのカムプログラムロボット

前に欲しくって買えなかった、カムプログラムロボットが再販されてると聞いて。 確かに売ってた。ヨドバシドットコムにもあった。早速買った。 どーん。 開けたらこんな感じ。 ここでプラモだったことに気づいて、1日寝かす。(SSDの換装で疲れてた。。) よし…

HP Pavilion 15-p256TX のHDDをSSDに換装する

2015年2月に家族用に買ったHPのノートPC。 水色でCore i7(5500U)が入っててかっこいい。 ただ如何せん遅い。 買ったときはそこそこ速かった気がするけど、Windows10入れたせいか、いろいろアプリいれたからか徐々に我慢できないくらい遅く。 タスクマネージ…

windows10でSplatoon2のドット打ち環境を構築する

前にmacでやったけど、gram買ったのでwindowsでも同じような環境を入れたい。 geek-satotsu.hatenablog.jp ここを参考に、arduinoを元の状態に戻していく。 qiita.com 突然だけどWSLのubuntuでデバイス認識できなかった(後述)ので、windowsでやることにする…

iPhone 5sのバッテリー交換

さすがにお古であげたiPhone5s、バッテリーが全然持たないらしい。 うん。めっちゃ減ってる。購入時の58%とか。 早速aliexpressでバッテリー買って交換する。 途中、パネルが全然外れないで吸盤ちぎれてしまったり。 バッテリーが両面テープからなかなか剥が…

LG gramのSSD増設

ついに買っちゃったよ。LGのgram。 もうめっちゃ軽いし、バッテリーがもうアホかというほど保つし、処理速度もめっちゃ速い。 13Z980-GR55Jです。8genのi5コア。 詳細はこちら。 www.lg.com セットアップ中。 しばらくなんだかんだ開発環境とか入れてたら、…

ヨーグルトメーカーで作るローストビーフ。準備編。

IYM-012安くて温度調節できるヨーグルトメーカー探したところ、アイリスオーヤマIYM-012が良さそう。さっそくAmazonで注文した。 www.irisohyama.co.jp 後で気づいたんだが、消費電力が30W。 500ccの肉でローストビーフ作るのに、肉の比熱=1と仮定して、25℃…

低温調理でつくるローストビーフめも

下記を参考に、コンロに張り付いて肉の真ん中が60度になってから1時間キープしたところ、おいしくできた。 当たり前だがしんどい。次回はヨーグルトメーカーでやってみたいと思う。 タンパク質の変性温度。limia.jp 赤ワインはアルパカにする。 wine-temiyag…

Splatoon2のドット打ち投稿をarduinoでやってみる。

こちらを参考にすすめる。 qiita.com ちなみにびんぼうでいいの、は全然いいくないので、もうちょっといいの買うこと。 自分もびんぼうでよくなかったので、これを買った。 atmega16u2が載ってるのがポイント。CH340はだめ。 https://www.amazon.co.jp/gp/pr…

macにpython3をいれる

こちらを参考にpython3をいれていく。 qiita.com brew install pyenv pyenvの設定をする。.bash_profileに追記。 export PYENV_ROOT="$HOME/.pyenv" export PATH="$PYENV_ROOT/bin:$PATH" eval "$(pyenv init -)" 保存したら、 source .bash_profile してお…

びんぼうでいいのは、DFUモードに入れない!

Arduino Uno互換機として使ってる、びんぼうでいいの。 これまで普通にスケッチ書いて動かしてるだけなら問題なかったんだけど。 いざDFUモードが必要になって、やろうとするもできない。。 よくよく見てみると、DFUモードに入れるAtmega16U2がのってない。 …

ZYNQ BOOK

ZYNQ BOOKというのを見つけた。 紙だと有料だけど、PDFは無料でダウンロードできる。 サンプルソースもある。ありがたい。 (名前とかメアドとかちょこっと入力は必要。) The Zynq Book 1章読めばSDKで動かすところまでできる。Lチカっぽい。流し読みした。…

vivadoのcreate_project.tcl をちょこっと修正する。

create_project.tclでproj_nameをいちいち書くのが面倒なので修正しためも。 tclよくわからないので、変な書き方だったら誰か教えて。 # Project specific settings. These must be updated for each project. # set proj_name "TEMPLATE" set proj_name "[f…

Arty Z7-20 の立ち上げめも

■ボードの設定 board filesをダウンロードするhttps://github.com/Digilent/vivado-boards/tree/master/new/board_files/arty-z7-20/A.0 Vivadoの該当フォルダにコピーするC:\Xilinx\Vivado\2017.3\data\boards\board_files ■Vivadoプロジェクトの作成 Arty-…

ついにオシロを買った!(RIGOL DS1054Z)

買ってしまいました。据え置きのオシロ。 ネットで安くて良さそうなの探して見つけたこれ。 RIGOL DS1054Zです。中国メーカー。 4chで帯域は50MHzと普段使いには十分な性能。 輸入かと思いきや、モノタロウで扱ってました。 お値段税別49,900円。月一の計測…

esp32の時刻合わせをntpでやってみる。

esp32のサンプルスケッチで時計を動かせたので、時刻も合わせたくなった。 こちらを参考にさせていただく。 qiita.com はまったのが、wifiに接続するところ。 何回WiFi.status()やってもWL_NO_SSID_AVAILが返ってくる。 scanしたら見つけてるので、見えない…

macでesp32のoledひからせるまで。

これ届いた。さっそく開発環境をととのえるよー。 ja.aliexpress.com まずはGithubからesp32の設定とってきて、USBドライバをインストールする。 qiita.com たぶんうちのはESP 32 Dev Moduleじゃなくて、Heltec_WIFI_LoRa_32でいいはず。 スケッチの書き込み…

macでelectronいれるまでのめも。

mac(osx el capitan 10.11.6 )にelectronいれるまでのめも。 node.jsを最初にpkgでいれたけどうまくいかずに結構はまった。 nodenvでnode.jsをいれる。今回は安定の8.9.4で。 joppot.info electronをいれる。sudoつけるとうまくいかない。でもこれはいらない…

macでsinatraインストールするまでめも。

まずはhomebrewいれる。 brew.sh 次にrubyをいれる。とりあえず2.4.1で。(2.4.3とか2.5.0でもいいかも。) qiita.com うまく入らないのでここを見る。 qiita.com やっとこさsinatraのターン。 qiita.com で、myapp.rbにhello world描いて実行。 ruby myapp.rb…

esp32でwifiやるときのめも。

qiita.com qiita.com blog.boochow.com 買ったやつ。 ja.aliexpress.com

arduinoで相補PWMだしてみる。

arduinoでも相補PWM出せるよね。ということで試してみた。 これが一応最終形。オシロが1chしかないので、PWMの出力2つをつまんでる。 deadtimeと相補PWMでてるっぽい。1kHz。 でも信号の切り替わりを拡大してみると、変なレベルがでてる。-2.5Vくらいのやつ…

arduinoめも

今さらだけど、arduinoってなんだっけとか、タイマとか割り込みってどうなってるんだっけ、とか気になるのでめも。 arduinoは、AVRに基板とIDEをセットにしたものみたい。 AVRのことではなかった。ハードはなんとオープンソース。 だからびんぼうでいいのと…

OLEDモジュール128x64, SSD1306, びんぼうでいいので動かす

ひさしぶりのarduino。 aitendoでOLEDモジュールが500円で売ってたので、ちょっと動かしてみたく。 こんな感じ。 今回買ったのはこれ。OLEDモジュール(0.96/白色/I2C) [M096P4W]。 www.aitendo.com スケッチを作るのに参考にしたのは、こちら。電圧は3.3V…

アームクローラーにツインモーターギヤーボックスつけてみた。

アームクローラー工作セットの説明書に、ツインモーターギヤーボックスと2チャンネルリモコンボックスつけて、リモコン操縦に変えてみようってあったのでやってみた。 これは表。標準なのでスイッチがついてる。 これは裏。標準のギヤボックスなのでモーター…

モータシールドめも

モータドライブシールド。adafruit互換。 シールドは基板化されてるので配線気にしなくて良いのが楽だよね。 www.aitendo.com 電源周りの回路図。推奨はジャンパーを外して、モータは別電源で。 本家ボード(adafruit)の写真。DCモータなら4つまでつなげられ…

タミヤ カムプログラムロボット工作セット

タミヤから出た、カムプログラムロボット工作セット。 8/12発売なのに、もう売り切れてるし!! カムプログラムロボット工作セット なにがすごいって、まずこの価格。税込みで3500円以下! プログラムがプログラムバー(レゴみたいなの)でできる! しかもAr…

LEGO WeDo

最近、子ども向けのプログラミング教室とか増えてきてる。 そこで使われてるのが、例えばこのLEGO WeDo。教材だけでも市販されてた。 www.ev-3.net マインドストームより半額くらいの3万円。海外通販だともうちょっと安く買える。 何より良いのが、iPadでプ…